High performance

Reconfigurable Operator Based Multimedia Embedded Processor

Image Processing / Low Power / Embedded processor / High performance / Word length / Multimedia Application / Coarse Grained Soil / Embedded Device / Multimedia Application / Coarse Grained Soil / Embedded Device

An efficient hierarchical parallel genetic algorithm for graph coloring problem

Information Systems / Distributed Computing / Grid Computing / Combinatorial Optimization / Genetic Algorithms / Constraint Programming / Shape Optimization / parallel Genetic algorithm / Genetic modification / Graph Coloring / Resource Discovery / Theoretical Analysis / Empirical Study / High performance / Evolutionary design / Graph Coloring Problem / Constraint Programming / Shape Optimization / parallel Genetic algorithm / Genetic modification / Graph Coloring / Resource Discovery / Theoretical Analysis / Empirical Study / High performance / Evolutionary design / Graph Coloring Problem

A perceptual macroblock layer power control for energy scalable video encoder based on just noticeable distortion principle

Mobile Video / Resource Allocation / Energy Consumption / Computer Network / Scalable Video Coding / Dynamic Software Adaptation / Power Control / High performance / Quality Evaluation / Human Visual System / Dynamic Software Adaptation / Power Control / High performance / Quality Evaluation / Human Visual System

Characterization of an agar fraction extracted from Gracilaria dura (Gracilariales, Rhodophyta)

Earth Sciences / Biological Sciences / Environmental Sciences / Infrared spectroscopy / Gas Chromatography / Gel Permeation Chromatography / Red Algae / High performance / Infrared / Laser Light Scattering / Molecular Weight Distribution / Gel Permeation Chromatography / Red Algae / High performance / Infrared / Laser Light Scattering / Molecular Weight Distribution

A new CFA frequency model including load-dependent instabilities

Signal Processing / Simulation / Operational Transconductance Amplifier / Frequency / Analog Signal Processing / Impedance / High performance / Circuit Design / Voltage / Circuit Analysis / Impedance / High performance / Circuit Design / Voltage / Circuit Analysis

BulkSC: bulk enforcement of sequential consistency

System Architecture / Random access memory / High performance / Multiprocessor System on Chip (MPSoC) / Coarse Grained Soil / Program Generation

Fermentation of Fructooligosaccharides and Inulin by Bifidobacteria: a Comparative Study of Pure and Fecal Cultures

Multidisciplinary / Humans / Fatty acids / Applied / Applied Environmental Microbiology / Fermentation / High performance / Feces / Culture Media / Hydrogen-Ion Concentration / Inulin / Carbon Source / Bifidobacterium / Oligosaccharides / Fermentation / High performance / Feces / Culture Media / Hydrogen-Ion Concentration / Inulin / Carbon Source / Bifidobacterium / Oligosaccharides

Parallel query processing on distributed clustering indexes

Applied Mathematics / Data Structure / Parallel and Distributed Computing / Similarity Search / Fuzzy Metric Space / High performance / Web Search Engine / Indexation / Discrete Algorithms / High performance / Web Search Engine / Indexation / Discrete Algorithms

Co-design by Parallel Prototyping: Optical-Flow Detection Case Study

Processor Architecture / Optical Flow / Case Study / High performance / Embedded System

Low energy plasma enhanced chemical vapor deposition

Engineering / Epitaxial Growth / Solar Cell / Solid State electronics / Physical sciences / Low Energy Buildngs / Oscillations / CHEMICAL SCIENCES / High performance / Low Temperature / Silicon Germanium / Process Development / Frequency Response / Electrical And Electronic Engineering / Active Layer / Low Energy Buildngs / Oscillations / CHEMICAL SCIENCES / High performance / Low Temperature / Silicon Germanium / Process Development / Frequency Response / Electrical And Electronic Engineering / Active Layer

Efficient Genotype Elimination via Adaptive Allele Consolidation

Genetics / Algorithms / Computational Biology / Biological Sciences / Humans / Computer Simulation / Mathematical Sciences / Haplotypes / Female / Male / Pedigree / High performance / Genotype / Linkage Analysis / Founder Effect / Inheritance Patterns / Computer Simulation / Mathematical Sciences / Haplotypes / Female / Male / Pedigree / High performance / Genotype / Linkage Analysis / Founder Effect / Inheritance Patterns

Application specific programmable IP core for motion estimation: Technology comparison targeting efficient embedded co-processing units

Performance Analysis / Motion estimation / Digital System Design / Field Programmable Gate Array / Real Time / High performance / Embedded System / Application Specific Integrated Circuit (ASIC) / High performance / Embedded System / Application Specific Integrated Circuit (ASIC)

Estudio del Desempeño Económico Regional: el caso Argentino

Hierarchical Clustering / High performance / Economic performance / Growth rate

2-Fluorophenol degradation by aerobic granular sludge in a sequencing batch reactor

Water / Wastewater Treatment / Activated Sludge / Multidisciplinary / Rhodococcus / High performance / Phenols / Sewage / Bioreactors / Sequencing Batch Reactor / High performance / Phenols / Sewage / Bioreactors / Sequencing Batch Reactor

Plasma transport control and self-sustaining fusion reactor

Plasma Physics / Shear Flow / High performance / Boolean Satisfiability / Plasma Physics and Controlled Fusion / Pressure Gradient

SINTESIS HARDWARE DE REDES ALN PARA APLICACIONES EN CONTROL

Signal Processing / Neural Network / Reconfigurable Hardware / High performance / High Speed / Real Time Application
Copyright © 2017 DATOSPDF Inc.